EMERGE returns, enabling high schoolers to explore biological materials

The grad-student-run program gives MITES Saturdays students hands-on experience with electron microscopes in DMSE’s Breakerspace.

The grad-student-run science discovery program EMERGE that trained middle-school kids on electron microscopes in November returned this spring, this time in the recently opened DMSE Breakerspace.
 
Twenty-two high schoolers learned the principles of microscopy and materials science and then got hands-on experience with state-of-the-art microscopy equipment April 13 on the MIT campus.
 
“We saw a lot of cool things exploring the nano-micro world of biology, such as structural color from the wing of a butterfly and nacre layering on a seashell,” said organizer Carl Thrasher, a graduate student in the Department of Materials Science and Engineering (DMSE).

The students were from the 11th grade bioengineering class in MITES Saturdays, a multi-year science and engineering exploration program run by MIT Introduction to Technology, Engineering, and Science, or MITES. Participants are high-achieving Massachusetts seventh through 12th graders from Boston, Cambridge, and Lawrence.
 
A team of grad student volunteers trained the high schoolers on how to use the instruments, including scanning electron microscopes (SEMs), powerful microscopes that scan material samples using a beam of electrons to form an image. Students used the machines to explore mystery samples of biological materials, producing readily understandable data—sharp images of specimens at various magnifications.

Next, the students were challenged to measure small features with a digital optical microscope, an instrument with considerably lower resolution, or the ability to distinguish fine details. The activity was designed to make clear how powerful electron microscopes are.
 
“We also got to see engineered E. coli that fluoresced, which we used to determine which of our samples were contaminated using a fluorometer to compare,” Thrasher said. A fluorometer is a device that detects and measures fluorescence—a phenomenon in which a substance absorbs light at one wavelength and emits it at a longer wavelength.
 
EMERGE, which stands for Electron Microscopy Elevating Representation and Growth in Education, hosted its pilot event in November at MIT.nano, where 18 MITES Saturdays students, all eighth-graders, learned to use SEMs to investigate specimens such as computer chips and pollen. 
 
Thrasher and fellow DMSE grad student and EMERGE co-founder Tao Cai were happy to open the program to another group of students.
 
“Through this event, we strive to spark a passion for STEM while imbuing MITES students with knowledge and confidence, empowering the next generation of scientists,” Cai said.

Cai recently won the Emerging Leader Award from MIT’s Institute Community & Equity Office for spearheading the development, funding, and implementation of EMERGE.
 
Thrasher said staging the event in the Breakerspace, DMSE’s materials exploration space for all MIT undergraduates, was a treat. The laboratory-slash-lounge opened in November.
 
“Working in the Breakerspace was really nice—everything is contained within a small area, so everything ran super smoothly,” he said. “The user-friendly instruments also helped the students see more samples.”
 
With more educational events likely this summer, Thrasher said volunteers are needed to help supervise and instruct students. “We’d love to have anyone who wants to help get involved,” he said.
 
Email Carl Thrasher (cthrash@mit.edu) or Tao Cai (caitaot@mit.edu) for more information.